Mercurial
comparison .cms/lib/codemirror/mode/vhdl/index.html @ 0:78edf6b517a0 draft
24.10
author | Coffee CMS <info@coffee-cms.ru> |
---|---|
date | Fri, 11 Oct 2024 22:40:23 +0000 |
parents | |
children |
comparison
equal
deleted
inserted
replaced
-1:000000000000 | 0:78edf6b517a0 |
---|---|
1 <!doctype html> | |
2 | |
3 <title>CodeMirror: VHDL mode</title> | |
4 <meta charset="utf-8"/> | |
5 <link rel=stylesheet href="../../doc/docs.css"> | |
6 | |
7 <link rel="stylesheet" href="../../lib/codemirror.css"> | |
8 <script src="../../lib/codemirror.js"></script> | |
9 <script src="../../addon/edit/matchbrackets.js"></script> | |
10 <script src="vhdl.js"></script> | |
11 <style>.CodeMirror {border-top: 1px solid black; border-bottom: 1px solid black;}</style> | |
12 <div id=nav> | |
13 <a href="https://codemirror.net/5"><h1>CodeMirror</h1><img id=logo src="../../doc/logo.png" alt=""></a> | |
14 | |
15 <ul> | |
16 <li><a href="../../index.html">Home</a> | |
17 <li><a href="../../doc/manual.html">Manual</a> | |
18 <li><a href="https://github.com/codemirror/codemirror5">Code</a> | |
19 </ul> | |
20 <ul> | |
21 <li><a href="../index.html">Language modes</a> | |
22 <li><a class=active href="#">VHDL</a> | |
23 </ul> | |
24 </div> | |
25 | |
26 <article> | |
27 <h2>VHDL mode</h2> | |
28 | |
29 <div><textarea id="code" name="code"> | |
30 LIBRARY ieee; | |
31 USE ieee.std_logic_1164.ALL; | |
32 USE ieee.numeric_std.ALL; | |
33 | |
34 ENTITY tb IS | |
35 END tb; | |
36 | |
37 ARCHITECTURE behavior OF tb IS | |
38 --Inputs | |
39 signal a : unsigned(2 downto 0) := (others => '0'); | |
40 signal b : unsigned(2 downto 0) := (others => '0'); | |
41 --Outputs | |
42 signal a_eq_b : std_logic; | |
43 signal a_le_b : std_logic; | |
44 signal a_gt_b : std_logic; | |
45 | |
46 signal i,j : integer; | |
47 | |
48 BEGIN | |
49 | |
50 -- Instantiate the Unit Under Test (UUT) | |
51 uut: entity work.comparator PORT MAP ( | |
52 a => a, | |
53 b => b, | |
54 a_eq_b => a_eq_b, | |
55 a_le_b => a_le_b, | |
56 a_gt_b => a_gt_b | |
57 ); | |
58 | |
59 -- Stimulus process | |
60 stim_proc: process | |
61 begin | |
62 for i in 0 to 8 loop | |
63 for j in 0 to 8 loop | |
64 a <= to_unsigned(i,3); --integer to unsigned type conversion | |
65 b <= to_unsigned(j,3); | |
66 wait for 10 ns; | |
67 end loop; | |
68 end loop; | |
69 end process; | |
70 | |
71 END; | |
72 </textarea></div> | |
73 | |
74 <script> | |
75 var editor = CodeMirror.fromTextArea(document.getElementById("code"), { | |
76 lineNumbers: true, | |
77 matchBrackets: true, | |
78 mode: { | |
79 name: "vhdl", | |
80 } | |
81 }); | |
82 </script> | |
83 | |
84 <p> | |
85 Syntax highlighting and indentation for the VHDL language. | |
86 <h2>Configuration options:</h2> | |
87 <ul> | |
88 <li><strong>atoms</strong> - List of atom words. Default: "null"</li> | |
89 <li><strong>hooks</strong> - List of meta hooks. Default: ["`", "$"]</li> | |
90 <li><strong>multiLineStrings</strong> - Whether multi-line strings are accepted. Default: false</li> | |
91 </ul> | |
92 </p> | |
93 | |
94 <p><strong>MIME types defined:</strong> <code>text/x-vhdl</code>.</p> | |
95 </article> |