annotate .cms/lib/codemirror/mode/verilog/test.js @ 0:78edf6b517a0 draft

24.10
author Coffee CMS <info@coffee-cms.ru>
date Fri, 11 Oct 2024 22:40:23 +0000
parents
children
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
0
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
1 // CodeMirror, copyright (c) by Marijn Haverbeke and others
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
2 // Distributed under an MIT license: https://codemirror.net/5/LICENSE
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
3
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
4 (function() {
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
5 var mode = CodeMirror.getMode({indentUnit: 4}, "verilog");
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
6 function MT(name) { test.mode(name, mode, Array.prototype.slice.call(arguments, 1)); }
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
7
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
8 MT("binary_literals",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
9 "[number 1'b0]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
10 "[number 1'b1]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
11 "[number 1'bx]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
12 "[number 1'bz]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
13 "[number 1'bX]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
14 "[number 1'bZ]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
15 "[number 1'B0]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
16 "[number 1'B1]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
17 "[number 1'Bx]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
18 "[number 1'Bz]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
19 "[number 1'BX]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
20 "[number 1'BZ]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
21 "[number 1'b0]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
22 "[number 1'b1]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
23 "[number 2'b01]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
24 "[number 2'bxz]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
25 "[number 2'b11]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
26 "[number 2'b10]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
27 "[number 2'b1Z]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
28 "[number 12'b0101_0101_0101]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
29 "[number 1'b 0]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
30 "[number 'b0101]"
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
31 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
32
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
33 MT("octal_literals",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
34 "[number 3'o7]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
35 "[number 3'O7]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
36 "[number 3'so7]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
37 "[number 3'SO7]"
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
38 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
39
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
40 MT("decimal_literals",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
41 "[number 0]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
42 "[number 1]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
43 "[number 7]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
44 "[number 123_456]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
45 "[number 'd33]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
46 "[number 8'd255]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
47 "[number 8'D255]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
48 "[number 8'sd255]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
49 "[number 8'SD255]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
50 "[number 32'd123]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
51 "[number 32 'd123]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
52 "[number 32 'd 123]"
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
53 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
54
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
55 MT("hex_literals",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
56 "[number 4'h0]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
57 "[number 4'ha]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
58 "[number 4'hF]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
59 "[number 4'hx]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
60 "[number 4'hz]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
61 "[number 4'hX]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
62 "[number 4'hZ]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
63 "[number 32'hdc78]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
64 "[number 32'hDC78]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
65 "[number 32 'hDC78]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
66 "[number 32'h DC78]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
67 "[number 32 'h DC78]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
68 "[number 32'h44x7]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
69 "[number 32'hFFF?]"
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
70 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
71
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
72 MT("real_number_literals",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
73 "[number 1.2]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
74 "[number 0.1]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
75 "[number 2394.26331]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
76 "[number 1.2E12]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
77 "[number 1.2e12]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
78 "[number 1.30e-2]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
79 "[number 0.1e-0]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
80 "[number 23E10]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
81 "[number 29E-2]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
82 "[number 236.123_763_e-12]"
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
83 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
84
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
85 MT("operators",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
86 "[meta ^]"
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
87 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
88
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
89 MT("keywords",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
90 "[keyword logic]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
91 "[keyword logic] [variable foo]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
92 "[keyword reg] [variable abc]"
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
93 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
94
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
95 MT("variables",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
96 "[variable _leading_underscore]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
97 "[variable _if]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
98 "[number 12] [variable foo]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
99 "[variable foo] [number 14]"
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
100 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
101
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
102 MT("tick_defines",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
103 "[def `FOO]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
104 "[def `foo]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
105 "[def `FOO_bar]"
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
106 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
107
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
108 MT("system_calls",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
109 "[meta $display]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
110 "[meta $vpi_printf]"
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
111 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
112
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
113 MT("line_comment", "[comment // Hello world]");
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
114
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
115 // Alignment tests
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
116 MT("align_port_map_style1",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
117 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
118 * mod mod(.a(a),
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
119 * .b(b)
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
120 * );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
121 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
122 "[variable mod] [variable mod][bracket (].[variable a][bracket (][variable a][bracket )],",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
123 " .[variable b][bracket (][variable b][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
124 " [bracket )];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
125 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
126 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
127
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
128 MT("align_port_map_style2",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
129 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
130 * mod mod(
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
131 * .a(a),
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
132 * .b(b)
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
133 * );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
134 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
135 "[variable mod] [variable mod][bracket (]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
136 " .[variable a][bracket (][variable a][bracket )],",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
137 " .[variable b][bracket (][variable b][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
138 "[bracket )];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
139 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
140 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
141
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
142 MT("align_assignments",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
143 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
144 * always @(posedge clk) begin
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
145 * if (rst)
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
146 * data_out <= 8'b0 +
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
147 * 8'b1;
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
148 * else
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
149 * data_out = 8'b0 +
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
150 * 8'b1;
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
151 * data_out =
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
152 * 8'b0 + 8'b1;
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
153 * end
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
154 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
155 "[keyword always] [def @][bracket (][keyword posedge] [variable clk][bracket )] [keyword begin]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
156 " [keyword if] [bracket (][variable rst][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
157 " [variable data_out] [meta <=] [number 8'b0] [meta +]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
158 " [number 8'b1];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
159 " [keyword else]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
160 " [variable data_out] [meta =] [number 8'b0] [meta +]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
161 " [number 8'b1];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
162 " [variable data_out] [meta =] [number 8'b0] [meta +]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
163 " [number 8'b1];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
164 "[keyword end]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
165 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
166 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
167
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
168 // Indentation tests
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
169 MT("indent_single_statement_if",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
170 "[keyword if] [bracket (][variable foo][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
171 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
172 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
173 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
174
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
175 MT("no_indent_after_single_line_if",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
176 "[keyword if] [bracket (][variable foo][bracket )] [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
177 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
178 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
179
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
180 MT("indent_after_if_begin_same_line",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
181 "[keyword if] [bracket (][variable foo][bracket )] [keyword begin]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
182 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
183 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
184 "[keyword end]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
185 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
186 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
187
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
188 MT("indent_after_if_begin_next_line",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
189 "[keyword if] [bracket (][variable foo][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
190 " [keyword begin]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
191 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
192 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
193 " [keyword end]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
194 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
195 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
196
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
197 MT("indent_single_statement_if_else",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
198 "[keyword if] [bracket (][variable foo][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
199 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
200 "[keyword else]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
201 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
202 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
203 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
204
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
205 MT("indent_if_else_begin_same_line",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
206 "[keyword if] [bracket (][variable foo][bracket )] [keyword begin]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
207 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
208 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
209 "[keyword end] [keyword else] [keyword begin]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
210 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
211 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
212 "[keyword end]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
213 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
214 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
215
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
216 MT("indent_if_else_begin_next_line",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
217 "[keyword if] [bracket (][variable foo][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
218 " [keyword begin]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
219 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
220 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
221 " [keyword end]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
222 "[keyword else]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
223 " [keyword begin]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
224 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
225 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
226 " [keyword end]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
227 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
228 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
229
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
230 MT("indent_if_nested_without_begin",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
231 "[keyword if] [bracket (][variable foo][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
232 " [keyword if] [bracket (][variable foo][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
233 " [keyword if] [bracket (][variable foo][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
234 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
235 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
236 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
237
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
238 MT("indent_case",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
239 "[keyword case] [bracket (][variable state][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
240 " [variable FOO]:",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
241 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
242 " [variable BAR]:",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
243 " [keyword break];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
244 "[keyword endcase]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
245 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
246 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
247
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
248 MT("unindent_after_end_with_preceding_text",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
249 "[keyword begin]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
250 " [keyword break]; [keyword end]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
251 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
252 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
253
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
254 MT("export_function_one_line_does_not_indent",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
255 "[keyword export] [string \"DPI-C\"] [keyword function] [variable helloFromSV];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
256 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
257 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
258
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
259 MT("export_task_one_line_does_not_indent",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
260 "[keyword export] [string \"DPI-C\"] [keyword task] [variable helloFromSV];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
261 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
262 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
263
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
264 MT("export_function_two_lines_indents_properly",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
265 "[keyword export]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
266 " [string \"DPI-C\"] [keyword function] [variable helloFromSV];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
267 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
268 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
269
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
270 MT("export_task_two_lines_indents_properly",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
271 "[keyword export]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
272 " [string \"DPI-C\"] [keyword task] [variable helloFromSV];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
273 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
274 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
275
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
276 MT("import_function_one_line_does_not_indent",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
277 "[keyword import] [string \"DPI-C\"] [keyword function] [variable helloFromC];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
278 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
279 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
280
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
281 MT("import_task_one_line_does_not_indent",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
282 "[keyword import] [string \"DPI-C\"] [keyword task] [variable helloFromC];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
283 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
284 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
285
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
286 MT("import_package_single_line_does_not_indent",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
287 "[keyword import] [variable p]::[variable x];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
288 "[keyword import] [variable p]::[variable y];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
289 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
290 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
291
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
292 MT("covergroup_with_function_indents_properly",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
293 "[keyword covergroup] [variable cg] [keyword with] [keyword function] [variable sample][bracket (][keyword bit] [variable b][bracket )];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
294 " [variable c] : [keyword coverpoint] [variable c];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
295 "[keyword endgroup]: [variable cg]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
296 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
297 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
298
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
299 MT("indent_uvm_macros",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
300 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
301 * `uvm_object_utils_begin(foo)
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
302 * `uvm_field_event(foo, UVM_ALL_ON)
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
303 * `uvm_object_utils_end
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
304 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
305 "[def `uvm_object_utils_begin][bracket (][variable foo][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
306 " [def `uvm_field_event][bracket (][variable foo], [variable UVM_ALL_ON][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
307 "[def `uvm_object_utils_end]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
308 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
309 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
310
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
311 MT("indent_uvm_macros2",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
312 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
313 * `uvm_do_with(mem_read,{
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
314 * bar_nb == 0;
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
315 * })
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
316 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
317 "[def `uvm_do_with][bracket (][variable mem_read],[bracket {]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
318 " [variable bar_nb] [meta ==] [number 0];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
319 "[bracket })]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
320 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
321 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
322
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
323 MT("indent_wait_disable_fork",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
324 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
325 * virtual task body();
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
326 * repeat (20) begin
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
327 * fork
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
328 * `uvm_create_on(t,p_seq)
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
329 * join_none
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
330 * end
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
331 * wait fork;
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
332 * disable fork;
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
333 * endtask : body
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
334 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
335 "[keyword virtual] [keyword task] [variable body][bracket ()];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
336 " [keyword repeat] [bracket (][number 20][bracket )] [keyword begin]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
337 " [keyword fork]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
338 " [def `uvm_create_on][bracket (][variable t],[variable p_seq][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
339 " [keyword join_none]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
340 " [keyword end]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
341 " [keyword wait] [keyword fork];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
342 " [keyword disable] [keyword fork];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
343 "[keyword endtask] : [variable body]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
344 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
345 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
346
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
347 MT("indent_typedef_class",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
348 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
349 * typedef class asdf;
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
350 * typedef p p_t[];
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
351 * typedef enum {
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
352 * ASDF
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
353 * } t;
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
354 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
355 "[keyword typedef] [keyword class] [variable asdf];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
356 "[keyword typedef] [variable p] [variable p_t][bracket [[]]];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
357 "[keyword typedef] [keyword enum] [bracket {]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
358 " [variable ASDF]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
359 "[bracket }] [variable t];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
360 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
361 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
362
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
363 MT("indent_case_with_macro",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
364 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
365 * // It should be assumed that Macros can have ';' inside, or 'begin'/'end' blocks.
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
366 * // As such, 'case' statement should indent correctly with macros inside.
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
367 * case(foo)
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
368 * ASDF : this.foo = seqNum;
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
369 * ABCD : `update(f)
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
370 * EFGH : `update(g)
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
371 * endcase
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
372 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
373 "[keyword case][bracket (][variable foo][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
374 " [variable ASDF] : [keyword this].[variable foo] [meta =] [variable seqNum];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
375 " [variable ABCD] : [def `update][bracket (][variable f][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
376 " [variable EFGH] : [def `update][bracket (][variable g][bracket )]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
377 "[keyword endcase]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
378 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
379 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
380
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
381 MT("indent_extern_function",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
382 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
383 * extern virtual function void do(ref packet trans);
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
384 * extern virtual function void do2(ref packet trans);
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
385 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
386 "[keyword extern] [keyword virtual] [keyword function] [keyword void] [variable do1][bracket (][keyword ref] [variable packet] [variable trans][bracket )];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
387 "[keyword extern] [keyword virtual] [keyword function] [keyword void] [variable do2][bracket (][keyword ref] [variable packet] [variable trans][bracket )];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
388 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
389 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
390
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
391 MT("indent_assignment",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
392 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
393 * for (int i=1;i < fun;i++) begin
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
394 * foo = 2 << asdf || 11'h35 >> abcd
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
395 * && 8'h6 | 1'b1;
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
396 * end
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
397 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
398 "[keyword for] [bracket (][keyword int] [variable i][meta =][number 1];[variable i] [meta <] [variable fun];[variable i][meta ++][bracket )] [keyword begin]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
399 " [variable foo] [meta =] [number 2] [meta <<] [variable asdf] [meta ||] [number 11'h35] [meta >>] [variable abcd]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
400 " [meta &&] [number 8'h6] [meta |] [number 1'b1];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
401 "[keyword end]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
402 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
403 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
404
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
405 MT("indent_foreach_constraint",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
406 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
407 * `uvm_rand_send_with(wrTlp, {
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
408 * length ==1;
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
409 * foreach (Data[i]) {
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
410 * payload[i] == Data[i];
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
411 * }
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
412 * })
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
413 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
414 "[def `uvm_rand_send_with][bracket (][variable wrTlp], [bracket {]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
415 " [variable length] [meta ==][number 1];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
416 " [keyword foreach] [bracket (][variable Data][bracket [[][variable i][bracket ]])] [bracket {]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
417 " [variable payload][bracket [[][variable i][bracket ]]] [meta ==] [variable Data][bracket [[][variable i][bracket ]]];",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
418 " [bracket }]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
419 "[bracket })]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
420 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
421 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
422
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
423 MT("indent_compiler_directives",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
424 /**
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
425 * `ifdef DUT
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
426 * `else
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
427 * `ifndef FOO
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
428 * `define FOO
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
429 * `endif
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
430 * `endif
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
431 * `timescale 1ns/1ns
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
432 */
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
433 "[def `ifdef] [variable DUT]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
434 "[def `else]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
435 " [def `ifndef] [variable FOO]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
436 " [def `define] [variable FOO]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
437 " [def `endif]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
438 "[def `endif]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
439 "[def `timescale] [number 1][variable ns][meta /][number 1][variable ns]",
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
440 ""
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
441 );
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
442
Coffee CMS <info@coffee-cms.ru>
parents:
diff changeset
443 })();